1
0
mirror of https://github.com/amix/vimrc synced 2025-06-16 01:25:00 +08:00

Updated plugins

This commit is contained in:
Amir Salihefendic
2019-03-08 08:04:56 -03:00
parent 1d42b63013
commit f50b2142bc
356 changed files with 6183 additions and 3837 deletions

View File

@ -8,5 +8,11 @@ write-good *ale-asciidoc-write-good*
See |ale-write-good-options|
===============================================================================
textlint *ale-asciidoc-textlint*
See |ale-text-textlint|
===============================================================================
vim:tw=78:ts=2:sts=2:sw=2:ft=help:norl:

View File

@ -21,5 +21,23 @@ g:ale_cmake_cmakelint_options *g:ale_cmake_cmakelint_options*
This variable can be set to pass additional options to cmakelint.
===============================================================================
cmake-format *ale-cmake-cmakeformat*
g:ale_cmake_cmakeformat_executable *g:ale_cmake_cmakeformat_executable*
*b:ale_cmake_cmakeformat_executable*
Type: |String|
Default: `'cmakeformat'`
This variable can be set to change the path the cmake-format.
g:ale_cmake_cmakeformat_options *g:ale_cmake_cmakeformat_options*
*b:ale_cmake_cmakeformat_options*
Type: |String|
Default: `''`
This variable can be set to pass additional options to cmake-format.
===============================================================================
vim:tw=78:ts=2:sts=2:sw=2:ft=help:norl:

View File

@ -1,4 +1,5 @@
*ale-development.txt* For Vim version 8.0.
*ale-dev*
*ale-development*
ALE Development Documentation
@ -143,7 +144,7 @@ Apply the following rules when writing Bash scripts.
* Try to write scripts so they will run on Linux, BSD, or Mac OSX.
===============================================================================
4. Testing ALE *ale-development-tests*
4. Testing ALE *ale-development-tests* *ale-dev-tests* *ale-tests*
ALE is tested with a suite of tests executed in Travis CI and AppVeyor. ALE
runs tests with the following versions of Vim in the following environments.

View File

@ -107,6 +107,17 @@ g:ale_haskell_stack_build_options *g:ale_haskell_stack_build_options*
We default to using `'--fast'`. Since Stack generates binaries, your
programs will be slower unless you separately rebuild them outside of ALE.
===============================================================================
stack-ghc *ale-haskell-stack-ghc*
g:ale_haskell_stack_ghc_options *g:ale_haskell_stack_ghc_options*
*b:ale_haskell_stack_ghc_options*
Type: |String|
Default: `'-fno-code -v0'`
This variable can be changed to modify flags given to ghc through `stack
ghc`
===============================================================================
stylish-haskell *ale-haskell-stylish-haskell*

View File

@ -79,14 +79,19 @@ g:ale_java_pmd_options *g:ale_java_pmd_options*
javalsp *ale-java-javalsp*
To enable Java LSP linter you need to download and build the vscode-javac
language server from https://github.com/georgewfraser/vscode-javac. Simply
download the source code and then build the plugin using maven:
language server from https://github.com/georgewfraser/java-language-server.
Simply download the source code and then build a distribution:
mvn package
scripts/link_mac.sh
This generates a out/fat-jar.jar file that contains the language server. To
let ALE use this language server you need to set the g:ale_java_javalsp_jar
variable to the absolute path of this jar file.
or
scripts/link_windows.sh
This generates a dist/mac or dist/windows directory that contains the
language server. To let ALE use this language server you need to set the
g:ale_java_javalsp_executable variable to the absolute path of the java
executable in this directory.
g:ale_java_javalsp_executable *g:ale_java_javalsp_executable*
*b:ale_java_javalsp_executable*
@ -95,14 +100,6 @@ g:ale_java_javalsp_executable *g:ale_java_javalsp_executable*
This variable can be changed to use a different executable for java.
g:ale_java_javalsp_jar *g:ale_java_javalsp_jar*
*b:ale_java_javalsp_jar*
Type: |String|
Default: `'fat-jar.jar'`
Path to the location of the vscode-javac language server plugin.
===============================================================================
uncrustify *ale-java-uncrustify*

View File

@ -84,9 +84,17 @@ g:ale_kotlin_ktlint_rulesets *g:ale_kotlin_ktlint_rulesets*
This list should contain paths to ruleset jars and/or strings of maven
artifact triples. Example:
>
let g:ale_kotlin_ktlint_rulesets = ['/path/to/custom-rulset.jar',
let g:ale_kotlin_ktlint_rulesets = ['/path/to/custom-ruleset.jar',
'com.ktlint.rulesets:mycustomrule:1.0.0']
g:ale_kotlin_ktlint_options *g:ale_kotlin_ktlint_options*
Type: |String|
Default: `''`
Additional options to pass to ktlint for both linting and fixing. Example:
>
let g:ale_kotlin_ktlint_options = '--android'
===============================================================================
languageserver *ale-kotlin-languageserver*

View File

@ -8,5 +8,11 @@ write-good *ale-latex-write-good*
See |ale-write-good-options|
===============================================================================
textlint *ale-latex-textlint*
See |ale-text-textlint|
===============================================================================
vim:tw=78:ts=2:sts=2:sw=2:ft=help:norl:

View File

@ -31,6 +31,9 @@ ALE will look for configuration files with the following filenames. >
pycodestyle.cfg
flake8.cfg
.flake8rc
pylama.ini
pylintrc
.pylintrc
Pipfile
Pipfile.lock
<
@ -65,6 +68,56 @@ g:ale_python_autopep8_use_global *g:ale_python_autopep8_use_global*
See |ale-integrations-local-executables|
===============================================================================
bandit *ale-python-bandit*
g:ale_python_bandit_executable *g:ale_python_bandit_executable*
*b:ale_python_bandit_executable*
Type: |String|
Default: `'bandit'`
See |ale-integrations-local-executables|
Set this to `'pipenv'` to invoke `'pipenv` `run` `bandit'`.
g:ale_python_bandit_options *g:ale_python_bandit_options*
*b:ale_python_bandit_options*
Type: |String|
Default: `''`
This variable can be changed to add command-line arguments to the
bandit invocation.
g:ale_python_bandit_use_config *g:ale_python_bandit_use_config*
*b:ale_python_bandit_use_config*
Type: |Number|
Default: `1`
If this variable is true and a `.bandit` file exists in the directory of the
file being checked or a parent directory, an `--ini` option is added to the
`bandit` command for the nearest `.bandit` file. Set this variable false to
disable adding the `--ini` option automatically.
g:ale_python_bandit_use_global *g:ale_python_bandit_use_global*
*b:ale_python_bandit_use_global*
Type: |Number|
Default: `get(g:, 'ale_use_global_executables', 0)`
See |ale-integrations-local-executables|
g:ale_python_bandit_auto_pipenv *g:ale_python_bandit_auto_pipenv*
*b:ale_python_bandit_auto_pipenv*
Type: |Number|
Default: `0`
Detect whether the file is inside a pipenv, and set the executable to `pipenv`
if true. This is overridden by a manually-set executable.
===============================================================================
black *ale-python-black*
@ -100,6 +153,16 @@ g:ale_python_black_auto_pipenv *g:ale_python_black_auto_pipenv*
Detect whether the file is inside a pipenv, and set the executable to `pipenv`
if true. This is overridden by a manually-set executable.
g:ale_python_black_change_directory *g:ale_python_black_change_directory*
*b:ale_python_black_change_directory*
Type: |Number|
Default: `1`
If set to `1`, ALE will switch to the directory the Python file being
checked with `black` is in before checking it. This helps `black` find
configuration files more easily. This option can be turned off if you want
to control the directory Python is executed from yourself.
===============================================================================
flake8 *ale-python-flake8*
@ -400,6 +463,60 @@ g:ale_python_pyflakes_auto_pipenv *g:ale_python_pyflakes_auto_pipenv*
if true. This is overridden by a manually-set executable.
===============================================================================
pylama *ale-python-pylama*
g:ale_python_pylama_change_directory *g:ale_python_pylama_change_directory*
*b:ale_python_pylama_change_directory*
Type: |Number|
Default: `1`
If set to `1`, `pylama` will be run from a detected project root, per
|ale-python-root|. This is useful because `pylama` only searches for
configuration files in its current directory and applies file masks using
paths relative to its current directory. This option can be turned off if
you want to control the directory in which `pylama` is executed.
g:ale_python_pylama_executable *g:ale_python_pylama_executable*
*b:ale_python_pylama_executable*
Type: |String|
Default: `'pylama'`
This variable can be changed to modify the executable used for pylama. Set
this to `'pipenv'` to invoke `'pipenv` `run` `pylama'`.
g:ale_python_pylama_options *g:ale_python_pylama_options*
*b:ale_python_pylama_options*
Type: |String|
Default: `''`
This variable can be changed to add command-line arguments to the pylama
invocation.
g:ale_python_pylama_use_global *g:ale_python_pylama_use_global*
*b:ale_python_pylama_use_global*
Type: |Number|
Default: `get(g:, 'ale_use_global_executables', 0)`
This variable controls whether or not ALE will search for pylama in a
virtualenv directory first. If this variable is set to `1`, then ALE will
always use |g:ale_python_pylama_executable| for the executable path.
Both variables can be set with `b:` buffer variables instead.
g:ale_python_pylama_auto_pipenv *g:ale_python_pylama_auto_pipenv*
*b:ale_python_pylama_auto_pipenv*
Type: |Number|
Default: `0`
Detect whether the file is inside a pipenv, and set the executable to `pipenv`
if true. This is overridden by a manually-set executable.
===============================================================================
pylint *ale-python-pylint*
@ -408,10 +525,12 @@ g:ale_python_pylint_change_directory *g:ale_python_pylint_change_directory*
Type: |Number|
Default: `1`
If set to `1`, ALE will switch to the directory the Python file being
checked with `pylint` is in before checking it. This helps `pylint` find
configuration files more easily. This option can be turned off if you want
to control the directory Python is executed from yourself.
If set to `1`, `pylint` will be run from a detected project root, per
|ale-python-root|. Since `pylint` only checks for `pylintrc` in the packages
above its current directory before falling back to user and global `pylintrc`
files, this is necessary for `pylint` to use a project `pylintrc` file, if
present. This option can be turned off if you want to control the directory
Python is executed from yourself.
g:ale_python_pylint_executable *g:ale_python_pylint_executable*
@ -494,6 +613,24 @@ g:ale_python_pyls_auto_pipenv *g:ale_python_pyls_auto_pipenv*
if true. This is overridden by a manually-set executable.
g:ale_python_pyls_config *g:ale_python_pyls_config*
*b:ale_python_pyls_config*
Type: |Dictionary|
Default: `{}`
Dictionary with configuration settings for pyls. For example, to disable
the pycodestyle linter: >
{
\ 'pyls': {
\ 'plugins': {
\ 'pycodestyle': {
\ 'enabled': v:false
\ }
\ }
\ },
\ }
<
===============================================================================
pyre *ale-python-pyre*
@ -549,6 +686,15 @@ g:ale_python_vulture_executable *g:ale_python_vulture_executable*
See |ale-integrations-local-executables|
g:ale_python_vulture_options *g:ale_python_vulture_options*
*b:ale_python_vulture_options*
Type: |String|
Default: `''`
This variable can be changed to add command-line arguments to the vulture
invocation.
g:ale_python_vulture_use_global *g:ale_python_vulture_use_global*
*b:ale_python_vulture_use_global*
Type: |Number|

View File

@ -2,6 +2,20 @@
ALE reStructuredText Integration *ale-restructuredtext-options*
===============================================================================
textlint *ale-restructuredtext-textlint*
To use textlint at reStructuredText, please install `textlint-plugin-rst`.
https://github.com/jimo1001/textlint-plugin-rst
>
$ npm install textlint-plugin-rst
To install `textlint-plugin-rst`, `docutils-ast-writer` python package
must be installed.
See: https://github.com/jimo1001/docutils-ast-writer
See |ale-text-textlint|
===============================================================================
write-good *ale-restructuredtext-write-good*

View File

@ -172,6 +172,20 @@ g:ale_rust_rls_toolchain *g:ale_rust_rls_toolchain*
The `rls` server will only be started once per executable.
g:ale_rust_rls_config *g:ale_rust_rls_config*
*b:ale_rust_rls_config*
Type: |Dictionary|
Default: `{}`
Dictionary with configuration settings for rls. For example, to force
using clippy as linter: >
{
\ 'rust': {
\ 'clippy_preference': 'on'
\ }
\ }
===============================================================================
rustc *ale-rust-rustc*

View File

@ -1,5 +1,5 @@
===============================================================================
ALE SASS Integration *ale-sass-options*
ALE Sass Integration *ale-sass-options*
===============================================================================

View File

@ -61,6 +61,18 @@ g:ale_sh_shellcheck_options *g:ale_sh_shellcheck_options*
let g:ale_sh_shellcheck_options = '-x'
<
g:ale_sh_shellcheck_dialect *g:ale_sh_shellcheck_dialect*
*b:ale_sh_shellcheck_dialect*
Type: |String|
Default: `'auto'`
This variable specifies the shellcheck dialect (`-s` option). The value
`'auto'` causes ALE to detect the dialect automatically, based on the shebang
line (if present) or the value of `b:is_bash`, `b:is_sh`, or `b:is_kornshell`
(set and used by |sh.vim|).
g:ale_sh_shellcheck_exclusions *g:ale_sh_shellcheck_exclusions*
*b:ale_sh_shellcheck_exclusions*
Type: |String|

View File

@ -3,7 +3,7 @@ ALE Verilog/SystemVerilog Integration *ale-verilog-options*
===============================================================================
ALE can use two different linters for Verilog HDL:
ALE can use four different linters for Verilog HDL:
iverilog:
Using `iverilog -t null -Wall`
@ -11,6 +11,12 @@ ALE can use two different linters for Verilog HDL:
verilator
Using `verilator --lint-only -Wall`
ModelSim/Questa
Using `vlog -quiet -lint`
Vivado
Using `xvlog`
By default, both 'verilog' and 'systemverilog' filetypes are checked.
You can limit 'systemverilog' files to be checked using only 'verilator' by
@ -20,6 +26,20 @@ defining 'g:ale_linters' variable:
\ let g:ale_linters = {'systemverilog' : ['verilator'],}
<
Linters/compilers that utilize a "work" directory for analyzing designs- such
as ModelSim and Vivado- can be passed the location of these directories as
part of their respective option strings listed below. This is useful for
holistic analysis of a file (e.g. a design with components, packages, or other
code defined external to the current file as part of a larger project) or
when wanting to simply pass an alternative location for the auto-generated
work directories (such as '/tmp') so as to not muddle the current directory.
Since these type of linters often use this work directory for holding compiled
design data as part of a single build process, they sometimes cannot handle
the frequent, asynchronous application launches when linting while text is
changing. This can happen in the form of hangs or crashes. To help prevent
this when using these linters, it may help to run linting less frequently; for
example, only when a file is saved.
===============================================================================
iverilog *ale-verilog-iverilog*
@ -39,5 +59,44 @@ g:ale_verilog_verilator_options *g:ale_verilog_verilator_options*
For example `'-sv --default-language "1800-2012"'` if you want to enable
SystemVerilog parsing and select the 2012 version of the language.
===============================================================================
vlog *ale-verilog-vlog*
g:ale_verilog_vlog_executable *g:ale_verilog_vlog_executable*
*b:ale_verilog_vlog_executable*
Type: |String|
Default: `'vlog'`
This variable can be changed to the path to the 'vlog' executable.
g:ale_verilog_vlog_options *g:ale_verilog_vlog_options*
*b:ale_verilog_vlog_options*
Type: |String|
Default: `'-quiet -lint'`
This variable can be changed to modify the flags/options passed to 'vlog'.
===============================================================================
xvlog *ale-verilog-xvlog*
g:ale_verilog_xvlog_executable *g:ale_verilog_xvlog_executable*
*b:ale_verilog_xvlog_executable*
Type: |String|
Default: `'xvlog'`
This variable can be changed to the path to the 'xvlog' executable.
g:ale_verilog_xvlog_options *g:ale_verilog_xvlog_options*
*b:ale_verilog_xvlog_options*
Type: |String|
Default: `''`
This variable can be changed to modify the flags/options passed to 'xvlog'.
===============================================================================
vim:tw=78:ts=2:sts=2:sw=2:ft=help:norl:

File diff suppressed because it is too large Load Diff